Цифровые часы на микросхемах своими руками. Схемы серийных электронных часов. Общие впечатления от часов

Предлагаю вашему вниманию электронные часы на микроконтроллере . Схема часов очень проста, содержит минимум деталей, доступна для повторения начинающим радиолюбителям.

Конструкция собрана на микроконтроллере и часов реального времени DS1307 . В качестве индикатора текущего времени использован четырехразрядный семисегментный светодиодный индикатор (ультраяркий, голубого цвета свечения, что неплохо смотрится в темное время, и, заодно, часы играют роль ночника). Управление часами происходит двумя кнопками. Благодаря использованию микросхемы часов реального времени DS1307, алгоритм программы получился довольно простым. Общение микроконтроллера с часами реального времени происходит по шине I2C, и организованно программным путем.

Схема часов:

К сожалению, в схеме есть ошибка:
— выводы МК к базам транзисторов нужно подключать:
РВ0 к Т4, РВ1 к Т3, РВ2 к Т2, РВ3 к Т1
или поменять подключение коллекторов транзисторов к разрядам индикатора:
Т1 к DP1 ….. Т4 к DP4

Детали, используемые в схеме часов:

♦ микроконтроллер ATTiny26:

♦ часы реального времени DS1307:

♦ 4-разрядный семисегментный светодиодный индикатор – FYQ-5641UB -21 с общим катодом (ультраяркий, голубого цвета свечения):

♦ кварц 32,768 кГц, с входной емкостью 12,5 пф (можно взять с материнской платы компьютера), от этого кварца зависит точность хода часов:

♦ все транзисторы — NPN-структуры, можно применить любые (КТ3102, КТ315 и их зарубежные аналоги), я применил ВС547С
♦ микросхемный стабилизатор напряжения типа 7805
♦ все резисторы мощностью 0,125 ватт
♦ полярные конденсаторы на рабочее напряжение не ниже напряжения питания
♦ резервное питание DS1307 – 3 вольтовый литиевый элемент CR2032

Для питания часов можно использовать любое ненужное зарядное устройство сотового телефона (в этом случае, если напряжение на выходе зарядного устройства в пределах 5 вольт ± 0,5 вольта, часть схемы — стабилизатор напряжения на микросхеме типа 7805, можно исключить)
Ток потребления устройством составляет — 30 мА.
Батарейку резервного питания часов DS1307 можно и не ставить, но тогда, при пропадании напряжения в сети, текущее время придется устанавливать заново.
Печатная плата устройства не приводится, конструкция была собрана в корпусе от неисправных механических часов. Светодиод (с частотой мигания 1 Гц, от вывода SQW DS1307) служит для разделения часов и минут на индикаторе.

Установки микроконтроллера заводские: тактовая частота — 1МГц, FUSE-биты трогать не надо.

Алгоритм работы часов (в Algorithm Builder):

1. Установка указателя стека
2. Настройка таймера Т0:
— частота СК/8
— прерывания по переполнению (при такой предустановленной частоте вызов прерывания происходит каждые 2 миллисекунды)
3. Инициализация портов (выводы РА0-6 и РВ0-3 настраиваются на выход, РА7 и РВ6 на вход)
4. Инициализация шины I2C (выводы РВ4 и РВ5)
5. Проверка 7-го бита (СН) нулевого регистра DS1307
6. Глобальное разрешение прерывания
7. Вход в цикл с проверкой нажатия кнопки

При первом включении, или повторном включении при отсутствии резервного питания DS307, происходит переход в первоначальную установку текущего времени. При этом: кнопка S1 – для установки времени, кнопка S2 – переход к следующему разряду. Установленное время – часы и минуты записываются в DS1307 (секунды устанавливаются в ноль), а также вывод SQW/OUT (7-й вывод) настраивается на генерацию прямоугольных импульсов с частотой 1 Гц.
При нажатии кнопки S2 (S4 — в программе) происходит глобальный запрет прерываний, программа переходит в подпрограмму коррекции времени. При этом, кнопками S1 и S2 устанавливаются десятки и единицы минут, затем, с 0 секунд, нажатием кнопки S2 происходит запись уточненного времени в DS1307, разрешение глобального прерывания и возвращение в основную программу.

Часы показали хорошую точность хода, уход времени за месяц — 3 секунды.
Для улучшения точности хода, кварц рекомендуется подключать к DS1307, как указано в даташите:

Программа написана в среде «Algorithm Builder».
Вы можете, на примере программы часов, ознакомиться с алгоритмом общения микроконтроллера с другими устройствами по шине I2C (в алгоритме подробно прокомментирована каждая строчка).

Фотография собранного устройства и печатная плата в формате.lay от читателя сайта Анатолия Пильгук, за что ему огромное спасибо!

В устройстве применены: Транзисторы — СМД ВС847 и ЧИП резисторы

Приложения к статье:

(42,9 KiB, 3 304 hits)

(6,3 KiB, 4 247 hits)

(3,1 KiB, 2 707 hits)

(312,1 KiB, 6 002 hits)


Второй вариант программы часов в АБ (для тех у кого нескачивается верхний)

(11,4 KiB, 1 999 hits)

Принципиальная схема часов приведена на рис. Она содержит три микросхемы повышенного уровня интеграции серии К176, два транзистора и 36 других дискретных элементов. Индикатор - плоский многоразрядный, катодо-люмннесцентный, с динамической индикацией ИВЛ1 — 7/5. Он имеет четыре цифры высотой 21 мм и две разделительные точки, расположенные вертикально.

Генератор секундных и минутных импульсов выполнен на микросхеме — ИМС1 К176ИЕ18. Кроме того, эта микросхема создает импульсы частотой следования 1024 Гц (вывод 11), используемые для работы сигнального устройства. Для создания прерывистого сигнала используются импульсы частотой следования 2 Гц (вывод 6). Частота 1 Гц (вывод 4) создает эффект «мигания» разделительных точек. Импульсы частотой следования 128 Гц, сдвинутые относительно друг друга по фазе на 4 мс (выводы 1, 2, 3, 15) подаются на сетки четырех цифр индикатора, обеспечивая их последовательное свечение. Коммутация соответствующих счетчиков минут и часов осуществляется частотой 1024 Гц (вывод 11). Каждый импульс, подаваемый на сетки индикатора, равен по длительности двум периодам частоты 1024 Гц, т. е. сигнал, подаваемый на сетку со счетчиков, будет дважды включен и выключен. Таким подбором частоты синфазных импульсов обеспечивается два эффекта: динамическая индикация и импульсная работа дешифратора и индикатора.
Интегральная микросхема ИМС2 К176ИЕ13 содержит счетчики минут и часов основных часов, счетчики минут и часов для установки времени сигнального устройства, а также коммутаторы для переключения входов и выходов» этих счетчиков. Выходы счетчиков через коммутатор подключаются к дешифратору двоичного кода в семиэлементный код индикатора. Этот дешифратор выполнен на микросхеме ИМСЗ К176ИДЗ. Выходы дешифратора подсоединяются к соответствующим сегментам всех четырех цифр параллельно. При отжатой кнопке S2 «Звонок» индикатор подключен к счетчикам часов (для опознавания этого режима точка мигает с частотой 1 Гц). Нажав кнопку S6 «Корр.», производят установку счетчиков часов (микросхема К176ИЕ13) и делителей генератора минутной последовательности импульсов (микросхема К176ИЕ18) в нулевое состояние. После отпускания кнопки S6 часы будут работать как обычно. Затем нажатием кнопок S3 «Мин» и S4 «Час» производят установку минут и часов текущего времени. В данном режиме возможно включение звукового сигнала. При нажатой кнопке S2 «Звонок» к дешифратору и индикатора подключаются счетчики сигнального устройства. В этом режиме также высвечивается четыре цифры, но мигающие точки гаснут. Нажав кнопку S5 «Буд» и удерживая ее, нажимают последовательно на кнопки S3 «Мин» и S4 «Час», устанавливают необходимое время срабатывания сигнального устройства, наблюдая за показаниями индикатора. Схема часов позволяет устанавливать пониженную яркость свечения индикаторов с помощью кнопки S1 «Яркость». Однако при этом следует помнить, что при пониженной яркости (кнопка S1 нажата) включение звукового сигнала, а также установка времени часов и сигнального устройства невозможны.
Блок питания БП6 — 1 — 1 содержит сетевой трансформатор Т, создающий напряжение 5 В (со средней точкой) для питания накала катода индикатора и напряжение 30 В для питания остальных цепей индикатора и микросхем. Напряжение 30 В выпрямляется кольцевой схемой на четырех диодах (VD10 - VD13), а затем с помощью стабилизатора на стабилитроне VD16 относительно» корпуса создается напряжение +9 В для питания микросхем, а с помощью стабилизатора на стабилитронах VD14, VD15 и транзистора VT2 - напряжение +25 В (относительно катода) для питания сеток и анодов индикаторов. Мощность, потребляемая часами, не более 5 Вт. Предусмотрено подключение резервного питания для сохранения времени часов при выключении сети. Может быть использована любая батарея 6…9В.

Литература МРБ1089

Чрезвычайно важен выбор серии микросхем, на которой будет реализована эта схема. Для часов самым важным параметром является ток, потребляемый ими, так как в большинстве случаев или все часы, или часть схемы часов питается от элементов питания. Поэтому при разработке схемы будем выбирать микросхемы, реализованные по .

Разработку схемы часов начнём с кварцевого генератора. Как уже обсуждалось при разработке структурной схемы, в составе генератора будет применён часовой кварцевый резонатор. Для уменьшения стоимости всего устройства в целом применим простейшую схему генератора — ёмкостную трёхточку, а так как генератор предназначен для синхронизации цифрового устройства, то генератор выполним на логическом инверторе. Принципиальная схема такого кварцевого генератора приведена на рисунке 1.


Рисунок 1. Схема кварцевого генератора, выполненная на логическом инверторе

Напомню, что резистор R1 предназначен для автоматического запуска генератора при включении питания. Этот же элемент определяет коэффициент усиления инвертора, и чем больше будет этот коэффициент усиления, тем более прямоугольные колебания будут формироваться на его выходе, а это, в свою очередь, приведёт к снижению тока, потребляемого кварцевым генератором. Выберем R1 равным 10 Мом.

R2 предназначен для предотвращения самовозбуждения генератора на частоте, определяемой ёмкостью кварцедержателя. Выберем значение сопротивления этого резистора 510 кОм.

Второй в схеме генератора предназначен для уменьшения длительности фронтов формируемого прямоугольного колебания. Это необходимо для уменьшения влияния последующей схемы на стабильность колебаний задающего генератора, а также для более надёжной работы цифровых счётчиков делителя частоты.

В качестве микросхемы, содержащей инверторы, выберем микросхему SN74LVC2G04DRL. В этой микросхеме, построенной по КМОП технологии, содержится два инвертора. О том, что в микросхеме содержится два элемента, говорит обозначение 2G. То что это инверторы — обозначается цифрой 04, а то, что в микросхеме использован корпус с шагом выводов 0,5 мм — буквы DRL. Размеры корпуса этой микросхемы не превышают 1.6*1.6мм (у корпуса всего шесть выводов). Микросхема способна работать в диапазоне напряжений от 1,5 до 5,5 В.

Следующей реализуем схему делителя частоты до значения 1 Гц. Напомню, что период колебаний с частотой 1 Гц равен 1 секунде. Как это мы уже определили при разработке структурной схемы, его коэффициент деления должен быть равен 32768. То есть для реализации делителя потребуется 15 счётных триггеров. Конечно, можно взять микросхему К176ИЕ12, специально разработанную для этой цели, но мы не ищем простых путей, поэтому используем универсальную микросхему SN74HC393PW. В ней есть два независимых четырёхразрядных двоичных счётчика. Это означает, что для реализации нашего делителя будет достаточно всего двух микросхем.

Размеры корпуса выбранной микросхемы не превышают 5´6.4мм. У корпуса этой микросхемы имеется 14 выводов. Если к габаритам часов нет особых требований, то можно использовать отечественную микросхему К1564ИЕ19. Ее корпус больше корпуса выбранной микросхемы более чем в два раза. Однако при этом даже номера выводов микросхем будут совпадать. Полученная принципиальная схема генератора секундных импульсов электронных часов приведена на рисунке 2.



Рисунок 2. Схема делителя на 32768 генератора секундных импульсов

Теперь вспомним, что в генераторе временных интервалов необходим еще один делитель частоты. Период импульсов на его выходе будет равен 1 минуте. Делитель на шестьдесят можно реализовать на точно такой же микросхеме что мы использовали и ранее для построения делителя на 32768.

Делитель на шестьдесят не кратен степени числа два, поэтому для его реализации потребуется обратная связь. Для упрощения схемы обратим внимание, что число 60 разбивается на числа 10 и 6. И то, и другое число содержат только две единицы. Выводы 4-х разрядных счетчиков выходят на разные стороны корпуса микросхемы. Поэтому будет удобно использовать два независимых логических элемента “2И”. Это позволит значительно упростить разводку печатной платы и сократить длину соединительных проводов, тем самым, уменьшив площадь печатной платы и возможные помехи от работающей схемы.

В качестве логических элементов "2И" используем две микросхемы SN74LVC1G08DRLR. То, что в микросхеме содержится только один логический элемент, мы определяем по символам 1G, а то, что это логический элемент "2И" — по цифрам 08. Размеры корпуса выбранной микросхемы не превышают 1.6×1.6 мм. Отечественные варианты подобной микросхемы, например К1554ЛИ1, содержат в одном корпусе сразу по четыре логических элемента, расстояние между выводами составляет минимум 1,25 мм. В результате схема, собранная на таких микросхемах, будет идентична по электрическим параметрам, но проиграет по размерам.

Полученная схема делителя частоты на 60, вырабатывающая импульсы с периодом 1 мин и состоящая из последовательно включенных делителей на 10 и на 6, приведена на рисунке 3. Схема реализована всего на трёх микросхемах. Использование обратной связи с выводов Q1 и Q3 превращает двоичный счётчик D1.1 в десятичный, а применение обратной связи с выводов Q1 и Q2 микросхемы D1.2 реализует счётчик по модулю 6.



Рисунок 3. Схема делителя на 60 генератора минутных импульсов

Итак, мы закончили разработку генератора минутных импульсов. Всего нам потребовалось шесть микросхем, при этом три из них относятся к микросхемам малой логики и занимают минимум места на печатной плате цифрового устройства.

Теперь можно приступить к разработке принципиальной схемы счетчика временных интервалов. Как мы уже выяснили при разработке структурной схемы часов, в состав этого счётчика входит точно такой же делитель на 60, как и в генераторе минутных импульсов, поэтому можно воспользоваться той же самой схемой. Отличие заключается только в том, что на этот раз нам потребуются все выходы счётчиков. Сигналы с этих выводов мы будем подавить на вход блока индикации.

Последний счётчик, который нам потребуется для реализации блока счётчика временных интервалов - это счётчик на 24. Этот счётчик было бы удобно реализовать на микросхеме десятичного счётчика, однако сдвоенных микросхем асинхронных десятичных счётчиков не производится, поэтому реализуем счётчик часов на той же микросхеме, что и остальные блоки часов — SN74HC393PW.

Сложность в реализации этой схемы заключается в том, что коэффициент счёта не кратен десяти, поэтому сигнал обратной связи необходимо заводить на оба счётчика одновременно. Можно было бы реализовать этот счётчик в двоичном виде, но тогда возникнут сложности с отображением содержимого этого счётчика. Для того, чтобы реализовать на первом 4-х разрядном счётчике десятичный счётчик и одновременно получить возможность сброса всего счётчика часов в начале суток используем дополнительный логический элемент “2ИЛИ”. Сигнал сброса на выходе этой микросхемы появится либо в случае достижения первым счётчиком числа 10, либо при достижении всем счётчиком значения 24.

В качестве логического элемента “2ИЛИ” используем микросхему малой логики, подобную уже использованной микросхеме “2И”. Это микросхема SN74LVC1G32DRLR. Цифра 32 в названии микросхемы и обозначает логический элемент “2ИЛИ”. Размеры корпуса этой микросхемы не превышают 1.6´1.6мм. В результате, несмотря на несколько более сложную принципиальную схему площадь, занимаемая счётчиком часов, значительно уменьшается.

Полная принципиальная схема счётчика часовых импульсов, реализованная на микросхеме SN74HC393PW приведена на рисунке 4. Использование обратной связи с выводов Q1 и Q3 первой микросхемы превращает ее в десятичный счётчик. Для реализации счетчика по модулю 24 мы используем обратную связь с вывода Q1 старшего разряда счётчика (двойка) и вывода Q2 младшего разряда счётчика часов (четвёрка).



Рисунок 4. Схема счётчика часовых импульсов

Таким образом, мы реализовали основную часть схемы часов, но как это уже обсуждалось при разработке структурной схемы этого недостаточно. Требуется уметь отображать полученную цифровую информацию. Перейдём к разработке блока индикации часов.

Литература:

Вместе со статьей "Разработка принципиальной схемы часов" читают:

Данные часы уже несколько раз обозревались, но я надеюсь, что мой обзор будет тоже Вам интересным. Добавил описание работы и инструкцию.

Конструктор покупался на ebay.com за 1.38 фунтов (0.99+0.39 доставка), что эквивалентно 2.16$. На момент покупки это самая низкая цена из всех предложенных.

Доставка заняла около 3х недель, набор пришел в обычном полиэтиленовом пакетике, который в свою очередь был упакован в небольшой «пупырчатый» пакет. На выводах индикатора был небольшой кусочек пенопласта, остальные детали были без какой либо защиты.

Из документации только небольшой листочек формата А5 со списком радиодеталей с одной стороны и принципиальной электрической схемой с другой.

1. Принципиальная электрическая схема, используемые детали и принцип работы



Основой или «сердцем» часов является 8-ми разрядный КМОП микроконтроллер AT89C2051-24PU оснащенный Flash программируемым и стираемым ПЗУ объемом 2кб.
Узел тактового генератора собран по схеме (рис.1) и состоит из кварцевого резонатора Y1 двух конденсаторов C2 и С3, которые образуют вместе параллельный колебательный контур.


Изменением емкости конденсаторов можно в небольших пределах изменять частоту тактового генератора и соответственно точность хода часов. На рисунке 2 показан вариант схемы тактового генератора с возможностью регулировки погрешности часов.

Узел начального сброса служит для установки внутренних регистров микроконтроллера в начальное состояние. Он служит для подачи после подключения питания на 1 вывод МК единичного импульса длительностью не менее 1 мкс (12 периодов тактовой частоты).
Состоит из RC цепочки, образуемой резистором R1 и конденсатором C1.

Схема ввода состоит из кнопок S1 и S2. Программно сделано так, что при одиночном нажатии любой из кнопок в динамике раздается одиночный сигнал, а при удержании двойной.

Модуль индикации собран на четырехразрядном семисегментном индикаторе с общим катодом DS1 и резистивной сборке PR1.
Резистивная сборка представляет собой набор резисторов в одном корпусе:


Звуковая часть схемы представляет собой схему собранную на резисторе R2 10кОм, pnp транзисторе Q1 SS8550(выполняющего роль усилителя) и пьезоэлемента LS1.

Питание подается через разъем J1 с подключенным параллельно сглаживающим конденсатором C4. Диапазон питающих напряжений от 3 до 6В.

2. Сборка конструктора

Сборка трудностей не вызвала, на плате подписано, куда какие детали паять.

Много картинок - сборка конструктора спрятана под спойлером

Я начал с панельки, так как она единственная не является радиодеталью:

Следующим шагом я припаял резисторы. Перепутать их невозможно, они оба на 10кОм:


После этого установил на плату соблюдая полярность электролитический конденсатор, резисторную сборку (также обращая внимание на первый вывод) и элементы тактового генератора - 2 конденсатора и кварцевый резонатор

Следующим шагом припаиваю кнопки и конденсатор фильтра питания:

После этого очередь за звуковым пьезоэлементом и транзистором. В транзисторе главное установить правильной стороной и не перепутать выводы:

В последнюю очередь припаиваю индикатор и разъем питания:

Подключаю к источнику напряжением 5В. Все работает!!!


3. Установка текущего времени, будильников и ежечасового сигнала.

После включения питания дисплей находится в режиме («ЧАСЫ: МИНУТЫ») и отображает время по умолчанию 12:59. Ежечасный звуковой сигнал включен. Оба будильника включены. Первый установлен на время срабатывания 13:01, а второй – 13:02.


При каждом кратковременном нажатии на кнопку S2 дисплей будет переключаться между режимами («ЧАСЫ: МИНУТЫ») и («МИНУТЫ: СЕКУНДЫ»).
При длительном нажатии кнопки S1 происходит вход в меню настроек, состоящее из 9 подменю, обозначенных буквами A, B, C, D, E, F, G, H, I. Подменю переключаются кнопкой S1, значения изменяются кнопкой S2. После подменю I следует выход из меню настроек.

А: Установка показаний часов текущего времени
При нажатии кнопки S2 значение часов изменяется от 0 до 23. После установки часов необходимо нажать S1 для перехода в подменю B.

B: Установка показаний минут текущего времени


C: Включение ежечасного звукового сигнала
По умолчанию включено (ON) – каждый час с 8:00 до 20:00 подается звуковой сигнал. При нажатии кнопки S2 значение изменяется между ON (Вкл.) и OFF (Выкл.). После установки значения необходимо нажать S1 для перехода в подменю D.

D: Включение\выключение первого будильника
По умолчанию будильник включен (ON). При нажатии кнопки S2 значение изменяется между ON (Вкл.) и OFF (Выкл.). После установки значения необходимо нажать S1 для перехода в следующее подменю. Если будильник выключен, то подменю E и F пропускаются.

E: Установка показаний часов первого будильника
При нажатии кнопки S2 значение часов изменяется от 0 до 23. После установки часов необходимо нажать S1 для перехода в подменю F.

F: Установка показаний минут первого будильника
При нажатии кнопки S2 значение минут изменяется от 0 до 59. После установки минут необходимо нажать S1 для перехода в подменю С.

G: Включение\выключение второго будильника
По умолчанию будильник включен (ON). При нажатии кнопки S2 значение изменяется между ON (Вкл.) и OFF (Выкл.). После установки значения необходимо нажать S1 для перехода в следующее подменю. Если будильник выключен, то подменю H и I пропускаются и происходит выход из меню настроек.

H: Установка показаний часов второго будильника
При нажатии кнопки S2 значение часов изменяется от 0 до 23. После установки часов необходимо нажать S1 для перехода в подменю I.

I: Установка показаний минут второго будильника
При нажатии кнопки S2 значение минут изменяется от 0 до 59. После установки минут необходимо нажать S1 для выхода из меню настроек.

Коррекция секунд
В режиме («МИНУТЫ: СЕКУНДЫ») необходимо удержать кнопку S2 для обнуления секунд. Далее коротким нажатием на кнопку S2 запустить отсчет секунд.

4. Общие впечатления от часов.

Плюсы:
+ Низкая цена
+ Легкая сборка, минимум деталей
+ Удовольствие от самостоятельной сборки
+ Достаточно низкая погрешность (у меня за сутки отстали на несколько секунд)

Минусы:
- После отключения питания не держит время
- Отсутствие какой либо документации, кроме схемы (данная статья частично решила этот минус)
- Прошивка в микроконтроллере защищена от считывания

5. Дополнительно:

1) На безграничных просторах интернета нашел инструкцию к этим часам на английском языке и перевел ее на русский. Скачать ее можно

В продаже можно встретить много различных моделей и вариантов электронных цифровых часов, но большинство из них расчитаны на использование внутри помещений, так как цифры маленькие. Однако иногда требуется разместить часы на улице - например на стене дома, или на стадионе, площади, то есть там, где они будут видны на большом расстоянии многими людьми. Для этого и была разработана и успешно собрана данная схема больших светодиодных часов, к которым можно подключить (через внутренние транзисторные ключи) LED индикаторы сколь угодно большого размера. Увеличить принципиальную схему можно кликнув по ней:

Описание работы часов

  1. Часы. В данном режиме идёт стандартный вид отображения времени. Имеется цифровая коррекция точности хода часов.
  2. Термометр. В этом случае устройство производит измерение температуры комнаты либо воздуха на улице, с одного датчика. Диапазон от -55 до +125 градусов.
  3. Предусмотрен контроль источника питания.
  4. Вывод информации на индикатор попеременно - часов и термометра.
  5. Для сохранения настроек и установок при пропадании 220В, применена энергонезависимая память.


Основой устройства является МК ATMega8, который прошивают выставляя фузы согласно таблице:

Работа и управление часами

Включив часы в первый раз, на экране появится рекламная заставка, после чего переключится на отображение времени. Нажимая на кнопку SET_TIME индикатор пойдёт по кругу из основного режима:

  • режим отображения минут и секунд. Если в этом режиме одновременно нажать на кнопку PLUS и MINUS , то произойдет обнуление секунд;
  • установка минут текущего времени;
  • установка часов текущего времени;
  • символ t . Настройка продолжительности отображения часов;
  • символ o . Время отображения символов индикации внешней температуры (out);
  • величина ежесуточной коррекции точности хода часов. Символ c и значение коррекции. Пределы установки от -25 до 25 сек. Выбранная величина будет ежесуточно в 0 часов 0 минут и 30 секунд прибавлена или вычтена из текущего времени. Более подробно читайте в инструкции, что в архиве с файлами прошивки и печатных плат.

Настройка часов

Удерживая кнопки PLUS /MINUS делаем ускоренную установку значений. После изменения каких-либо настроек, через 10 секунд новые значения запишутся в энергонезависимую память и будут считаны оттуда при повторном включении питания. Новые настройки вступают в силу по ходу установки. Микроконтроллер отслеживает наличие основного питания. При его отключении питание прибора осуществляется от внутреннего источника. Схема резервного модуля питания показана ниже:


Для уменьшения тока потребления отключаются индикатор, датчики и кнопки, но сами часы продолжают отсчитывать время. Как только напряжение сети 220В появится - все функции индикации восстанавливаются.


Так как устройство задумывалось как большие светодиодные часы, в них есть два дисплея: большой светодиодный - для улицы, и маленький ЖКИ - для удобства настройки основного дисплея. Большой дисплей расположен на расстоянии несколько метров от блока управления и соединен двумя кабелями по 8 проводов. В управление анодами внешнего индикатора индикаторов, применены транзисторные ключи по приведенной в архиве схеме. Авторы проекта: Александрович & SOIR.
Похожие публикации